Hi!
In early September, Ken Boak sent me two Icestorm Blackice boards for giving support in Apio[1] / Icestudio[2] projects. I have one board, and the other was for Jesus Arroyo, the main developer
We are finishing the support in apio (this step is necessary for giving support in Icestudio later) and we need you to test it. Specilly in Windows and Mac (Jesus and I are linux users). Any feedback is very welcome
First, you need to install python (currently python 2.7, but we are migrating it to python 3.6)
Second, install apio (but the development version with blackice support: 0.3.0b3):
- pip install apio==0.3.0b3
You can check that apio has been correctly installed by typing:
- apio --version
apio, version 0.3.0b3
Now, let's install the toolchain and other auxiliary packages
Create a working directory and add the following testing files:
blinky.v:
module blink(input clk, output LED);
localparam N = 24;
reg [N:0] count;
assign LED = count[N];
always @(posedge clk)
count <= count + 1;
endmodule
set_io LED 70
set_io clk 129
From the working directory, let's create a blackice project:
- apio init -b blackice
Creating apio.ini file ...
File 'apio.ini' has been successfully created!
We need also to install the blackiceprog:
and enable the drivers
- apio drivers --serial-enable
Configure Serial drivers for FPGA
Serial drivers enabled
Unplug and reconnect your board
Now everything should be ok, ready for uploading our first test:
Just type:
- apio upload
Board: blackice
[Wed Jan 3 16:47:05 2018] Processing blackice
FPGA_SIZE: 8k
FPGA_TYPE: hx
FPGA_PACK: tq144:4k
PROG: black-iceprog /dev/ttyACM0
...
icepack hardware.asc hardware.bin
black-iceprog /dev/ttyACM0 hardware.bin
Wrote 135100 bytes
========================= [SUCCESS] Took 3.53 seconds
You can see a vĂdeo of the uploading process here: https://photos.app.goo.gl/nN6zx5XtF1GtQEgk1
Once the software is installed and configured correctly, you only have to edit your .v and .pcf files and execute apio upload
We need as much feedback as possible for the release. Once apio is debugged, you are only one or two clicks away from synthesizing and uploading circuits in your FPGA board. So easy... and from any platform: Linux, Win, Mac
I am looking forward to your tests. Thanks!
Best regards, Juan
[1] https://github.com/FPGAwars/apio
[2] (The forum do not allow me to add more than two links)